[libre-riscv-dev] [Bug 72] verilog to nmigen converter (full or partial) needed

bugzilla-daemon at libre-riscv.org bugzilla-daemon at libre-riscv.org
Wed Jan 22 16:52:38 GMT 2020


http://bugs.libre-riscv.org/show_bug.cgi?id=72

--- Comment #18 from Tobias Platen <hacks2019 at platen-software.de> ---
I have tried pyverilog. It seems to work for the Xilinx dialect, but it seems
to fail for the SystemVerilog dialect that ariane uses.

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-riscv-dev mailing list