[libre-riscv-dev] [Bug 72] verilog to nmigen converter (full or partial) needed

bugzilla-daemon at libre-riscv.org bugzilla-daemon at libre-riscv.org
Mon Jan 6 19:43:51 GMT 2020


http://bugs.libre-riscv.org/show_bug.cgi?id=72

--- Comment #16 from Yehowshua <yimmanuel3 at gatech.edu> ---
see:
http://lists.libre-riscv.org/pipermail/libre-riscv-dev/2020-January/003261.html

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-riscv-dev mailing list