[libre-riscv-dev] [Bug 316] bperm TODO

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Sat May 16 22:52:56 BST 2020


https://bugs.libre-soc.org/show_bug.cgi?id=316

--- Comment #19 from Michael Nolan <mtnolan2640 at gmail.com> ---
(In reply to Cole Poirier from comment #16)
> 
> I have committed the changes. Now I am going to go over Robert Baruch's
> nmigen tutorials and search out resources on writing unit tests, and formal
> proofs for hardware. Then I will attempt to write an appropriate unit test
> for this class.

For writing unit tests, take a look at
https://git.libre-soc.org/?p=soc.git;a=blob;f=src/soc/shift_rot/test/test_maskgen.py;h=1a4d34e676154b250f95ef120932a9b88fcaf937;hb=3c65f5ff7893bb34696c476abacfe34ad739bf18
for decent starting place

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-riscv-dev mailing list