[libre-riscv-dev] [Bug 305] Create Pipelined ALU similar to alu_hier.py

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Sat May 9 20:08:05 BST 2020


https://bugs.libre-soc.org/show_bug.cgi?id=305

--- Comment #22 from Luke Kenneth Casson Leighton <lkcl at lkcl.net> ---
are you studying this btw?
https://github.com/antonblanchard/microwatt/blob/master/execute1.vhdl

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-riscv-dev mailing list