[libre-riscv-dev] [Bug 363] inconsistency between isel and mfcr and crand unit test on bit-ordering of CR

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Fri Jun 5 20:47:12 BST 2020


https://bugs.libre-soc.org/show_bug.cgi?id=363

--- Comment #7 from Luke Kenneth Casson Leighton <lkcl at lkcl.net> ---
(In reply to Michael Nolan from comment #5)
> Luke - I'll investigate this at some point this weekend. I'm a little busy
> so I don't know exactly when

no problem, thank you.  i will move on to testing XER bits instead.

ironically the int tests actually work.

bear in mind that DecodeCRIn (and out) is responsible for defining CR0
hardcoded.  weirdly, doing 7-e.read_cr1.data in power_regspec_map.py does not
also require CR0 to be changed to 7

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-riscv-dev mailing list