[libre-riscv-dev] [Bug 72] verilog to nmigen converter (full or partial) needed

bugzilla-daemon at libre-riscv.org bugzilla-daemon at libre-riscv.org
Wed Apr 24 08:52:52 BST 2019


http://bugs.libre-riscv.org/show_bug.cgi?id=72

--- Comment #7 from Luke Kenneth Casson Leighton <lkcl at lkcl.net> ---
https://github.com/MikePopoloski/slang/blob/master/scripts/grammar.txt
https://github.com/MikePopoloski/slang/blob/master/scripts/syntax_gen.py

iinteresting! good find!

i like the approach, split out the BNF into straight text files and write
a syntax/grammar-generator that spews out code-fragments.  it reminds me
of the approach i took with the direct python-webkit bindings.

mike has however jumped direct to c.  that would be the cut-off point
for adaptation (extraction of grammar.txt, syntax_gen.py etc)
unless slang can cope / be a basis in c++ for some form of intermediary
translation... removing features of Cadence systemverilog...

tried out sv-lang.com, i appear to have crashed it, whoops ;)

typed in "import ariane_pkg::*;" and it reaaally didn't like it :)

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-riscv-dev mailing list