[libre-riscv-dev] [Bug 72] verilog to nmigen converter (full or partial) needed

bugzilla-daemon at libre-riscv.org bugzilla-daemon at libre-riscv.org
Wed Apr 24 08:24:57 BST 2019


http://bugs.libre-riscv.org/show_bug.cgi?id=72

--- Comment #5 from Luke Kenneth Casson Leighton <lkcl at lkcl.net> ---
any other ideas? (will update comment 1 to clarify the requirement
to preserve as much of the original code as possible)

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-riscv-dev mailing list