[Libre-soc-bugs] [Bug 469] Create D-cache from microwatt dcache.vhdl

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Fri Aug 21 03:51:53 BST 2020


https://bugs.libre-soc.org/show_bug.cgi?id=469

--- Comment #1 from Cole Poirier <colepoirier at gmail.com> ---
Sorry, I just now committed the work I've done on this translation from
yesterday and today. I was working yesterday, and had to leave the house
immediately once I noticed the time in order to not miss an appointment, so I
didn't have time to properly review my git diff and write a commit message.
Again, apologies.

Luke, can you give me some guidance on this specifically relating to tranlading
vhdl generate blocks, port maps, and generic maps into nmigen? (and a few other
things that I've skipped due to lack of understanding but are marked in the
file with comments that contain the keyword TODO).

Thanks in advance, as I will see your comments in the morning :)

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list