[libre-riscv-dev] [Bug 312] Formal Correctness Proof for CountZero needed (basically PriorityEncoder)

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Tue May 19 17:30:56 BST 2020


https://bugs.libre-soc.org/show_bug.cgi?id=312

--- Comment #1 from Luke Kenneth Casson Leighton <lkcl at lkcl.net> ---
this is now definitely a formal proof for PriorityEncoder because countzero.py
is not used, replaced by use of nmutil.clz.  however, nmutil.clz *is*
PriorityEncoder.

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-riscv-dev mailing list