[libre-riscv-dev] [Bug 311] countzero function for Logic Pipeline

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Fri May 15 14:18:05 BST 2020


https://bugs.libre-soc.org/show_bug.cgi?id=311

--- Comment #3 from Luke Kenneth Casson Leighton <lkcl at lkcl.net> ---
tobias i added this in:

https://git.libre-soc.org/?p=soc.git;a=blob;f=src/soc/logical/main_stage.py;h=76be8a6404b1648de20f10dc8a933b6c586d5a1c;hb=HEAD

next i'll put in a unit test into logical/test_pipe_caller.py

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-riscv-dev mailing list