[libre-riscv-dev] [Bug 311] New: countzero function for Logic Pipeline

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Fri May 15 12:30:10 BST 2020


https://bugs.libre-soc.org/show_bug.cgi?id=311

            Bug ID: 311
           Summary: countzero function for Logic Pipeline
           Product: Libre-SOC's first SoC
           Version: unspecified
          Hardware: PC
                OS: Mac OS
            Status: CONFIRMED
          Severity: enhancement
          Priority: ---
         Component: Source Code
          Assignee: lkcl at lkcl.net
          Reporter: lkcl at lkcl.net
                CC: libre-riscv-dev at lists.libre-riscv.org
   NLnet milestone: ---

https://github.com/antonblanchard/microwatt/blob/master/countzero.vhdl
https://git.libre-soc.org/?p=soc.git;a=blob;f=src/soc/countzero/countzero.py;hb=HEAD

to be added to logical pipeline

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-riscv-dev mailing list