[libre-riscv-dev] Very Subtle Synchronous nMigen Behavior

Luke Kenneth Casson Leighton lkcl at lkcl.net
Fri Jun 19 01:29:18 BST 2020


On Fri, Jun 19, 2020 at 1:14 AM Yehowshua <yimmanuel3 at gatech.edu> wrote:
>
> Take a look through the following code and the comments.
> I’ve tried to capture some subtle BUT important
> synchronous behavior in the nMigen simulator.

ah.  right.  i was aware that this existed for combinatorial tests (we
found out a few weeks ago), however i wasn't aware it also applied to
sync.

this is important enough that it really should go on a wiki page.

>
> ```python
> from nmigen import *

ah.  please do not use wilcard imports. it is known for 20 years to be
extraordinarily bad practice not just in python but in other
programming languages as well, and will cause us no end of problems.
i've documented this on HDL_workflow, and it was discussed last month
as well.

l.



More information about the libre-riscv-dev mailing list