[libre-riscv-dev] [Bug 72] verilog to nmigen converter (full or partial) needed

bugzilla-daemon at libre-riscv.org bugzilla-daemon at libre-riscv.org
Mon Jan 6 19:15:57 GMT 2020


http://bugs.libre-riscv.org/show_bug.cgi?id=72

Yehowshua <yimmanuel3 at gatech.edu> changed:

           What    |Removed                     |Added
----------------------------------------------------------------------------
                 CC|                            |yimmanuel3 at gatech.edu

--- Comment #14 from Yehowshua <yimmanuel3 at gatech.edu> ---
I recently came across pyverilog which will parse verilog(not sure how robust
its sv capabilities are).

https://github.com/PyHDI/Pyverilog

Why are we converting verilog into nMigen?

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-riscv-dev mailing list