[Libre-soc-bugs] [Bug 469] Create D-cache from microwatt dcache.vhdl

bugzilla-daemon at libre-soc.org bugzilla-daemon at libre-soc.org
Sun Aug 30 12:59:32 BST 2020


https://bugs.libre-soc.org/show_bug.cgi?id=469

--- Comment #18 from Luke Kenneth Casson Leighton <lkcl at lkcl.net> ---
    #         variable tagset : tlb_way_tags_t;
    #         variable pteset : tlb_way_ptes_t;
    #type tlb_tags_t is array(tlb_index_t) of tlb_way_tags_t;
    # --> Array([Signal(log(way_tags length)) for i in range(number of tlbs)])

a function called TLBWayTags() could i suppose be defined to return that
nmigen Array.

-- 
You are receiving this mail because:
You are on the CC list for the bug.


More information about the libre-soc-bugs mailing list