[libre-riscv-dev] IEEE754 FPU

Luke Kenneth Casson Leighton lkcl at lkcl.net
Sun Feb 17 20:05:50 GMT 2019


On Sunday, February 17, 2019, Aleksandar Kostovic <
alexandar.kostovic at gmail.com> wrote:

> Okay so i made a mistake. In my most recent git commit i started to do
> things "the hard way". I just literaly found out that functions like is_nan
> or is_zero can just be applied to the m.d.sync. It is a real time saver!


Ta-daaa :)



-- 
---
crowd-funded eco-conscious hardware: https://www.crowdsupply.com/eoma68


More information about the libre-riscv-dev mailing list