[libre-riscv-dev] IEEE754 FPU turning into ALU with Reservation Stations

Luke Kenneth Casson Leighton lkcl at lkcl.net
Thu Apr 4 22:41:20 BST 2019


hmmm, i'm having difficulties with specialcases, so will do
normalise_1 first - you can do normalise_2 (they're almost the same),
sort out the indentation in fmul.py first, though: you absolutely must
remember that python *requires* code-blocks to have *exactly* the same
number of spaces.  do not be tempted to use a "fancy" editor, it will
only think it's "very clever" by auto-converting to tabs, which *will*
cause *actual* damage to the code.
l.



More information about the libre-riscv-dev mailing list